2014-08-01から1ヶ月間の記事一覧

EAGLEのAutorouteでGNDの配線を無視する

http://psas.pdx.edu/EagleCadWorkshopNotes/ auto ! GNDで無視できる。配線のコツとして、GNDたちが一つの領域に包含されるようにイメージしながら 配置してからGND無視のAutorouteをかけるとうまくいきそう。(GNDが孤立島にいないようにする)

VHDLのテスト

library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity ledblink is port ( CLK: in std_logic; RST: in std_logic; LED1: buffer std_logic ); end ledblink; architecture Behavioral of ledblink is signal cnt: integer range 0…

isimで内部信号を見る

http://forums.xilinx.com/t5/Simulation-and-Verification/Viewing-internal-signal-waveforms-in-ISIM/td-p/244736 内部信号をWave formに追加したら、 isim consoleで再起動をかけないと値が反映されない。 restart run 1us

VHDLでLED点滅

library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; --↓ +演算子を使うために以下のインクルードが必要 use ieee.…

getFEMのビルドとScilabインターフェース

http://wiki.scilab.org/Finite%20Elements%20in%20ScilabScilabインターフェースのマニュアル http://download.gna.org/getfem/doc/scilab_interface.pdfgetFEMのソース http://download.gna.org/getfem/html/homepage/ ./configure --enable-scilab --with…

WxMaximaで逆行列を求める

文字のままで計算ができる。 A : matrix( [a,b,c],[d,e,f],[g,h,i]); A_ : invert(A);

Scilabのチュートリアル 

http://www.openeering.com/scilab_tutorials

vimでXMLを整形するコマンドをユーザー定義する

http://qiita.com/shiena/items/8907c4b42d7958c53ce4 http://d.hatena.ne.jp/Hoshi-KN/20120302/1330658765~/.vimrcに以下を追加 command Prettify :%s/>\r

FIRフィルタへのインパルス応答の畳込み

サンプリング・リバーブ アルゴリズム http://yukara-13.hatenablog.com/entry/2013/12/20/094926 http://www.ari-web.com/service/soft/reverb-4.htm

scikits.audiolabのインストール

ここを参考に。 http://sky-y.hatenablog.jp/entry/2013/11/15/183949

ゲイン余裕と位相余裕

2次遅れ系にPI制御系を入れた時の閉ループ伝達関数Tc s=%s G=1/(s^2+2*s+1) C=1+1/s Tc=G*C/(1+G*C) nyquist(syslin("c",Tc) #単位円プロット→show_margins(SISO,'nyquist')関数を使うときは不要 a = linspace(0, 2*%pi, 100); x=cos(a) y=sin(a) plot2d(x,y…

VHDLのattribute文

Predefinedの方じゃなくて、User defiendの方のattribute文の使い方が分からなかった。 配線上での名前を固定するために使うらしい。シンセサイザが勝手に名前を変えてしまわないように。 http://www.fpgadeveloper.com/2011/06/how-to-keep-a-signal-name-a…

VHDLをVerilogに変換する

http://www.ocean-logic.com/downloads.htm ocean-logicのver.1が使えた CygwinでのビルドにFLEXライブラリが必要

MatlabのControl System Toolbox

http://www.mathworks.co.jp/jp/help/control/index.html

Scilabでベクトル軌跡を書くワンライナー

Gのベクトル軌跡 :sをjωとおいてωを0→∞に飛ばした時のGの複素平面上での軌跡微分要素 plot2d(real(horner(1/(1/%s),(0:0.01:100)*%i)),imag(horner(1/(1/%s),(0:0.01:100)*%i)),axesflag=1)積分要素 plot2d(real(horner(1/%s,(0:0.01:100)*%i)),imag(horner…

Macでイコライザを利用する

Mac

SystemのアウトプットをSoundflowerに流し、 SoundflowerのアウトプットをAU Labに流し、 AU LabのアウトプットをSystemのbuilt-inアウトプットに流す http://www.lifehacker.jp/2013/04/130417equalizer_pc_mac.htmlイコライザはAU Lab上でフィルタを追加し…

Scilabでdivision by zeroエラーを回避する

ieee()関数を使う https://bugs.launchpad.net/ubuntu/+source/scilab/+bug/128479 Use ieee(0) to make division by zero an error. Use ieee(1) to be warned when dividing by zero. Use ieee(2) to silently allow division by zero. ieee(1)に設定した。

力学系へのPI制御の利用

こんな状態だとする x' 方向の運動方程式 Laplace変換(初期値 x'(t)=0 phi(t)=0) 伝達関数 ステップ(0.5)入力(Φ=0.5→θを60度固定) t=0:0.01:10 u=0 for i=1:length(t) step(i)=0.5 end clf G=9.8/(%s^2+1) plot2d(t,csim(step',t,syslin("c",G)),1)周期1の…

2次遅れ系にP制御、PI制御、PD制御入力を適用したシステムへのステップ応答

制御対象(2次遅れ系)の定義 t = 0:0.01:3 G=10/((s+5)*(s+2)) #2次遅れ系 plot2d(t,csim("step",t,syslin("c",G*C/(1+G*C)))) #Cは未定義 GC:1巡伝達関数 制御なしのステップ入力だと0.1に収束するところを制御入力を入れて1に近づける P制御 clf C=1 plo…

PapilioのUCFのメモ

・RSTボタンはPULLDOWN指定 ・RX->トップレベルのTXD、TX->トップレベルのRXDにつなぐ (追記)UARTの接続は勘違い UART_Tx -> TX, UART_Rx -> RXで動いた。

Scilabでボード線図を書くワンライナー

微分要素(積分要素の逆数にしないといけないのはバグか) bode(syslin('c',1/(1/%s)),0.01,100,0.01)積分要素 bode(syslin('c',1/%s),0.01,100,0.01)1次遅れ系 bode(syslin('c',1/(1+%s),0.01,100,0.01)1次遅れ系の微分要素 bode(syslin('c',%s/(1+%s)),0…

Scilabで2次遅れ要素のステップ応答を書くワンライナー

基本 t=0:0.1:10; plot2d(t', csim('step',t,syslin('c',1/(%s^2+2*%s+1)))) # => plot2d(t, csim('step',t,syslin('c',伝達関数))) 極を-1±3jに指定 plot2d(t,csim('step',t,syslin('c',10/poly([(-1+3*%i),conj(-1+3*%i)],"s"))))

DE0 nanoのjtag-uartにtelnetで接続する

DE0 nano用のシリアルUSBケーブルを買うのが億劫だったので調べていたら jtagサーバにtelnet接続するソフトウェアを書いている人がいた。 https://github.com/binary-logic/vj-uart使い方: 1. https://github.com/binary-logic/vj-uartのrtlフォルダに有る.…

CAESES, オープンCAE

CAESES - Friendship Frameworkの無償版 http://www.slideshare.net/fumiyanozaki96/caeses-introduction OpenFOAM, FreeCADとも連携できるOpenFOAM を用いた Adjoint 形状最適化事例1 http://www.slideshare.net/fumiyanozaki96/adjointbased-shape-optimi…

航空機設計における多目的最適化

https://www.google.co.jp/search?q=%E5%A4%9A%E7%9B%AE%E7%9A%84%E6%9C%80%E9%81%A9%E5%8C%96&ie=utf-8&oe=utf-8&aq=t&hl=ja&gws_rd=ssl#hl=ja&q=%E5%A4%9A%E7%9B%AE%E7%9A%84%E6%9C%80%E9%81%A9%E5%8C%96+%E8%88%AA%E7%A9%BA%E6%A9%9F

空力弾性におけるリミットサイクル制御(LCO)

空力弾性制御の高度化(JAXA) http://www.aero.jaxa.jp/research/basic/structure/aeroelastic/

弾性軸についてのメモ

第3回 ねじり振動を伴う回転運動の計算 http://www2.wbs.ne.jp/~mec/Dynamics-3.htm人力飛行機用主翼の静的空力弾性変形 - 愛知工業大学(pdf) http://aitech.ac.jp/lib/kiyou/40B/B17.pdf

復習用メモ

航空機力学入門(加藤) http://www.amazon.co.jp/%E8%88%AA%E7%A9%BA%E6%A9%9F%E5%8A%9B%E5%AD%A6%E5%85%A5%E9%96%80-%E5%8A%A0%E8%97%A4-%E5%AF%9B%E4%B8%80%E9%83%8E/dp/4130610430航空力学の基礎(第2版) http://www.amazon.co.jp/%E8%88%AA%E7%A9%BA%…

FEMとCFDについて

ソフトウェアについて知りたかったのでQuoraで探してみた CFD Computational Fluid Dynamics: Is there any beginner CFD software? http://www.quora.com/Computational-Fluid-Dynamics/Is-there-any-beginner-CFD-softwareComputational Fluid Dynamics: H…

monoistのメカ設計に関する記事(構造に関する記事)

フリーソフトだけで構造解析をやってみる(上) http://monoist.atmarkit.co.jp/mn/articles/1005/19/news103_2.htmlフリーソフトだけで構造解析をやってみる(下) http://monoist.atmarkit.co.jp/mn/articles/1007/23/news105.html3人中2人が間違える!? 片…