2014-08-14から1日間の記事一覧

isimで内部信号を見る

http://forums.xilinx.com/t5/Simulation-and-Verification/Viewing-internal-signal-waveforms-in-ISIM/td-p/244736 内部信号をWave formに追加したら、 isim consoleで再起動をかけないと値が反映されない。 restart run 1us

VHDLでLED点滅

library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; --↓ +演算子を使うために以下のインクルードが必要 use ieee.…