library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; --↓ +演算子を使うために以下のインクルードが必要 use ieee.std_logic_unsigned.all; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity blink is port ( CLK : in std_logic; LED0 : inout std_logic -- ↑LEDの値を読み取ってトグルしたかったのでinoutにしてある ); end blink; architecture Behavioral of blink is signal cnt : std_logic_vector(25 downto 0); -- signal en1hz : std_logic_vector; signal zeros : std_logic_vector(25 downto 0) := "00000000000000000000000000"; begin count1 : process(CLK) begin if(rising_edge(CLK)) then if(cnt=X"1E847FF") then cnt <= zeros; LED0 <= not LED0; else cnt <= cnt + 1; end if; end if; end process; end Behavioral;