Vivado2017.2でPYNQ-Z1のビットストリーム作成

http://qiita.com/ikwzm/items/e48309c8657d81535fac

Pynq-Z1/vivado/ip/dvi2rgb_v1_6/component.xml
のuserFileTypeをtextからmifに変更する(他の解像度のものも)

<spirit:name>xilinx_vhdlsynthesis_view_fileset</spirit:name>
      <spirit:file>
        <spirit:name>src/720p_edid.txt</spirit:name>
        <spirit:userFileType>mif</spirit:userFileType>
      </spirit:file>
      <spirit:file>