2017-07-25から1日間の記事一覧

ArduZynqでピンをやりくりする

UNO用のCNCシールドで試してみる https://www.amazon.co.jp/HiLetgo-拡張ボード-A4988-ドライバーボード-Arduinoと互換/dp/B01D2HL9T8/ref=pd_cp_328_3?_encoding=UTF8&psc=1&refRID=5ZP8B9JNYNNPDDFCGG4H これでD0-D13とA0-A5が埋まるPMODで出てる8線はセン…

ArduZynqにGPIOを追加してPSから使ってみる

ここを参考に http://nahitafu.cocolog-nifty.com/nahitafu/2013/07/zynqpspl4-gpio-.htmlblock design gpio.xdc set_property PACKAGE_PIN R12 [get_ports gpio_io_o] set_property IOSTANDARD LVCMOS33 [get_ports gpio_io_o]helloworld.c /* * helloworld…

ArduZynq(TE0723-02)でベアメタルアプリケーションを走らせる

1. Vivado2016.4をインストール 2. リファレンスデザイン(2016.4)(prebuiltじゃないファイルサイズが小さいほう)をダウンロードして解凍→test_boardディレクトリができる http://www.trenz-electronic.de/fileadmin/docs/Trenz_Electronic/TE0723/Reference_…

Vivado2017.2でPYNQ-Z1のビットストリーム作成

http://qiita.com/ikwzm/items/e48309c8657d81535facPynq-Z1/vivado/ip/dvi2rgb_v1_6/component.xml のuserFileTypeをtextからmifに変更する(他の解像度のものも) <spirit:name>xilinx_vhdlsynthesis_view_fileset</spirit:name> <spirit:file> <spirit:name>src/720p_edid.txt</spirit:name> <spirit:userFileType>mif</spirit:userFileType>…</spirit:file>