2017-07-24から1日間の記事一覧

zyboでPetalinux

https://hiro99ma.blogspot.jp/2017/07/zybopetalinuxdigilent-6.html

Vivadoのバージョンを切り替える

https://forums.xilinx.com/t5/Welcome-Join/xpr-file-opening-older-version-of-vivado/td-p/644137 Open Windows and search for regedit.exe (Registery Editor) Go to HKEY_CURRENT_USER and search for Vivado.Project.1 and update the default parame…

pynq用にPetalinuxをビルド

http://dora.bk.tsukuba.ac.jp/~takeuchi/?電気回路%2Fzynq%2FPetalinux%20のビルド pynqのhdfが必要 pynq用のGitHubレポジトリにあった https://github.com/Xilinx/PYNQ/blob/master/Pynq-Z1/sdk/base.hdf フォルダが必要とのことなので結局合成することに

mbedの動作

How mbed works https://developer.mbed.org/handbook/How-mbed-works 全体像 mbedにはBootloaderがいるわけではない。mbedコンパイラで作られた.binはRAWバイナリであり、mbedではないボードでも動く mbedインターフェースと、外部FLASHが存在する SDカード…